基于FPGA的8路彩灯控制器设计Verilog代码Quartus仿真

avatar
作者
筋斗云
阅读量:1

名称:基于FPGA的8路彩灯控制器设计Verilog代码Quartus仿真(文末获取)

软件:Quartus

语言:Verilog

代码功能:

8路彩灯控制器

具有2种彩灯工作模式,通过按键切换

模式1:依次点亮,然后依次熄灭,如此循环

模式2:先奇数点亮,然后偶数点亮,如此循环

1. 工程文件

2. 程序文件

3. 程序编译

4. RTL图

5. 仿真文件(testbench)

6. 仿真图

整体仿真图

分频模块div_clk

彩灯花型控制模块LED_ctrl

部分代码展示:

//彩灯控制 module caideng( input clk_in,//输入时钟1KHz input reset,//复位,高电平有效 input control,//控制信号 output [7:0] dout//输出8路彩灯 ); wire clk_2;//2Hz wire clk; //分频模块 div_clk i_div_clk( . clk_in(clk_in),//输入时钟1KHz . clk_2(clk_2)//产生时钟clk_2=2Hz ); //彩灯花型控制模块 LED_ctrl i_LED_ctrl( . clk(clk_2),//clk控制彩灯变化速率 . reset(reset),//复位 . control(control),//控制信号 . dout(dout)//输出8路彩灯 ); endmodule 
源代码

 扫描文章末尾的公众号二维码

广告一刻

为您即时展示最新活动产品广告消息,让您随时掌握产品活动新动态!